CONCEPTION DE CIRCUITS EN VHDL ET VHDL- AMS

CONCEPTION DE CIRCUITS EN VHDL ET VHDL- AMS


Collection :

Le langage VHDL-AMS est un support à la méthode de conception des circuits intégrés numériques et analogiques. Il devient indispensable dès que l'on met en oeuvre des systèmes électroniques complexes.

28 €
En stock

 

Commande avant 16h,
expédié le jour même (lu. - ve.)

 

Livraison express sous 48h.

ISBN : 2854285271
Référence : 527
Année de parution : 2006

Le langage VHDL-AMS est un support à la méthode de conception des circuits intégrés numériques et analogiques. Il devient indispensable dès que l'on met en oeuvre des systèmes électroniques complexes.

Le lecteur découvrira les principes fondamentaux de ce langage ainsi que la manière de les utiliser dans le cadre d'une méthode cohérente. Plusieurs exemples de complexité croissante permettent d'illustrer les éléments essentiels du langage et de la méthode.

Cet ouvrage sera utilisé comme support de cours en école d'ingénieur et dans le cadre des maîtrises et DESS d'informatique et d'électronique. II nécessite la connaissance d'un langage de programmation évolué et les bases de la logique booléenne.

Les auteurs : Ludovic Barrandon est doctorant à l'Université de Rennes I. Dominique Houzet est maître de conférences à l'Institut National des Sciences Appliquées de Rennes (INSA).

Ce livre s'appuie sur quinze ans d'expérience pédagogique dans l'enseignement de la conception de circuits à l'INSA, I'ENSEEIHT, l'ENAC, l'Université Paul Sabatier ainsi qu'en formation continue.

Référence : 527
Niveau : écoles d'ingénieurs, maîtrises et DESS informatique & électronique
Nombre de pages : 174
Format : 17x24
Reliure : Broché

TABLE DES MATIÈRES

Résumé
Public concerné et objectifs
Les auteurs

CHAPITRE PREMIER
Introduction au langage VHDL
1 Origine du langage
2 Eléments principaux du langage VHDL
3 Différences avec un langage de programmation
4 Champs d’application
5 Structuration d’une description VHDL
6 Caractéristiques générales du langage

CHAPITRE DEUX
Concepts généraux
du langage VHDL
1 Eléments du langage
2 Illustration sur un exemple : l’additionneur

CHAPITRE TROIS
Notion de signal et d’affectation de signal
1 Définition
2 Affectation inconditionnelle de signal
3 Notion de délai inertiel
4 Notion de delta-délai
5 Affectation conditionnelle de signal
6 Affectation de signal dans le domaine séquentiel
6.1 Règles de distinction entre variable et signal
6.2 Choix entre variable et signal

CHAPITRE QUATRE
Le typage des objets
1 Introduction
2 Constructeurs de types
2.1 L’énumération
2.2 Les structures
2.3 Les tableaux
2.4 Les pointeurs
2.5 Les fichiers
3 VHDL’93
4 Les sous-programmes
5 Typage multiple d’un même support de données
6 Modélisation d'un type trois états
7 Compléments sur les attributs: notion d'événement
8 Description des structures régulières: la généricité

CHAPITRE CINQ
Méthodologie de conception
1 Principes généraux et cycle de vie
2 Spécification du matériel
2.1 Exemple de cahier des charges
3 Test de la spécification
4 Diagnostic de la spécification
5 Conception détaillée
5.1 Illustration sur l’exemple du contrôleur de feux
6 Etude de cas : optimisation du contrôleur de feux

CHAPITRE SIX
Réalisation matérielle
1 Synthèse logique et placement routage
2 Phase d’optimisation
3 Codage logique des expressions VHDL
3.1 Description d'un circuit synchrone sur front d'horloge
3.2 Différences entre variable et signal au niveau de la synthèse
3.3 Synchronisation d'horloges

CHAPITRE SEPT
Exemple de conception d'un circuit complet: la calculette
1 Sujet
1.1 Communication avec l'extérieur
1.2 Fonctionnement
2 Spécification du circuit
3 Conception du circuit
3.1 Division bit-série 113
3.2 Multiplication bit-série
3.3 Conversion BCD
3.4 Affichage sept segments
4 Test du circuit
5 Synthèse inverse
6 Simulation
7 Réalisation

CHAPITRE HUIT
VHDL-AMS : Modélisation de systèmes Analogiques et Mixtes
1 Introduction
2 Spécificités du VHDL-AMS
2.1 Le VHDL comme base du VHDL-AMS
2.2 Continuité du temps et des amplitudes
2.3 Formalismes de connexions, transport de l’information analogique
2.4 Simulation 137
2.5 VHDL-AMS en tant que langage : bilan
3 Mise en pratique
3.1 Système pluridisciplinaire purement analogique : le thermocouple
3.2 Système modulaire, générique et mixte : le CAN à approximations successives
3.3 Modélisation et tests niveau système : chaîne d’acquisition métrologique
4 Evolutions du VHDL-AMS : méthodologies, standard

CHAPITRE NEUF
Exercices
1 Questions
2 Problème VHDL n°1 : FIFO synchrone
3 Problème VHDL n°2 : générateur d'horloges programmables
4 Sujet de projet VHDL : distributeur de boissons
4.1 Caractéristiques du distributeur de boissons
4.2 Environnement du contrôleur à concevoir:
4.3 Les signaux avec l'extérieur
4.4 Fonctionnement
4.5 Décomposition hiérarchique du contrôleur proposée
4.6 Travail à effectuer
4.7 Documents à rendre
5 Problème VHDL-AMS n°1 : diodes
6 Problème VHDL-AMS n°2 : CAN à approximations successives
ANNEXES
Table des déclarations
Mots réservés du VHDL'87
Mots-CLE SPECIFIQUES AU VHDL-AMS
opérateurs VHDL87 avec priorité par ordre ascendant
Appendice : Mémo de la syntaxe VHDL
INDEX

BIBLIOGRAPHIE

Livres de l'auteur Ludovic Barrandon